Essays.club - TCC, Modelos de monografias, Trabalhos de universidades, Ensaios, Bibliografias
Pesquisar

Resistores não Lineares

Por:   •  27/11/2017  •  1.442 Palavras (6 Páginas)  •  435 Visualizações

Página 1 de 6

...

Atividades:

- Realizar a especificação de um DEMUX 1:4 que implementa a função D = f(S,E), em que D são as saídas de dados, S são as entradas de controle de seleção e E é a entrada de dados, por meio de: (i) apresentação do bloco lógico utilizado para representá-lo (usando a convenção de índices apropriada para representar os bits de D e S); (ii) descrição das saídas de dados produzidas e da utilização das entradas de controle para selecionar a saída; e (iii) apresentação da tabela-verdade correspondente;

- Realizar o projeto desse circuito, deduzindo a expressão lógica para a saída, a partir da interpretação lógica da tabela-verdade, e apresentando o diagrama lógico correspondente (considerar a utilização de CI’s, com inversores e portas AND de três entradas);

- Realizar a especificação de um Sistema MUX – DEMUX, obtido pela interligação entre o Sistema de Multiplexação 8:1 (CI 74151), já montado no experimento 1, e o DEMUX 1:8, usando o CI 74138, por meio de: (i) apresentação do diagrama lógico utilizado para representá-lo (usar os blocos lógicos que representam o MUX e o DEMUX); e (ii) descrição de como as entradas de controle são utilizadas para realizar as operações de multiplexação e de demultiplexação, de modo a garantir a comunicação entre cada uma das oito fontes de dados Ii(t) e cada destino Di (usando as fontes de dados descritas no experimento anterior) e os destinos definidos da seguinte maneira: para o MUX 74151 associar três chaves para as entradas de seleção (B C A) e para o DEMUX tomar as mesmas três chaves usadas para as entradas de seleção do MUX só que agora na ordem invertida (A B C), de modo que, por exemplo, a entrada I1(001) do MUX vai corresponder à saída D4(100) do DEMUX, respectivamente.

- Apresentar o diagrama elétrico completo do sistema MUX: DEMUX utilizando os CI’s - MUX 74151 (8:1) e o DEMUX 74138 (1:8)/(Decodificador 3:8).

- Bloco Lógico Universal

Objetivo Específico: Especificação, implementação e verificação do funcionamento de uma função lógica de quatro variáveis, f(D,C,B,A) com o projeto realizado a partir de um multiplexador 8:1, utilizado como Bloco Lógico Universal.

Função a ser implementada: Y = f (D,C,B,A) = [pic 2]m (0, 2,5, 7, 9, 13,14,15).

Atividades:

- Realizar a especificação da função a ser implementada, apresentando a tabela-verdade e o bloco lógico utilizado para representá-la;

- Apresentar a expressão da função Y= f(D,C,B,A) na forma da soma de produtos. Apresentar a expressão lógica da saída Z de um MUX 8:1 em função das entradas de dados I0, I1, I2, I3, I4, I5, I6, I7 e das entradas de seleção S2,S1 e S0.

- Comparar as expressões obtidas nos itens A e B, e apresentar as relações necessárias para que sejam equivalentes. Mostrar que é possível implementar a função Y = f(D,C,B,A) com um MUX 8:1, utilizado como Bloco Lógico Universal – BLU: estabelecer o que deve ser feito para obter a implementação desejada, com a finalidade de obter as expressões de cada entrada de dados I e de seleção S do MUX 8:1;

- Apresentar o diagrama lógico correspondente ao circuito que implementa essa função, representando o multiplexador por meio de seu bloco lógico;

- Apresentar o diagrama elétrico para mostrar como o MUX 8:1 (representado pelo diagrama elétrico da montagem Sistema de Multiplexação 8:1) é utilizado, e relacionar os dispositivos necessários para a montagem e o teste desse circuito.

- Projeto de um Sistema Detector de Paridade

Objetivo Específico: Especificação e implementação e verificação do funcionamento de uma função lógica P(D,C,B,A) de quatro variáveis, que implementa um detector de paridade ímpar (ou seja, a função P deve ser 1 sempre que o número de 1’s da palavra for ímpar) com o projeto realizado a partir de: 1) um multiplexador 8:1, utilizado como Bloco Lógico Universal e 2) com portas lógicas.

Atividades:

- Realizar a especificação da função a ser implementada, apresentando a tabela-verdade e o bloco lógico utilizado para representá-la.

- Apresentar a expressão da função Y = f (D,C,B,A) na forma da soma de produtos e, em seguida, colocar em evidência os termos em C, B e A.

- Apresentar a expressão lógica da saída Z de um MUX 8:1 em função das entradas de dados I0, I1, ... , I7, e das entradas de seleção S2, S1 e S0.

- Mostrar que é possível implementar a função Y = f (D,C,B,A) com um MUX 8:1, utilizado como Bloco Lógico Universal – BLU: estabelecer o que deve ser feito para obter a implementação desejada, com a finalidade de obter as expressões de cada entrada de dados e de seleção do MUX 8:1;

- Apresentar o diagrama lógico correspondente ao circuito que implementa essa função, representando o multiplexador por meio de seu bloco lógico;

- Apresentar o diagrama

...

Baixar como  txt (9.1 Kb)   pdf (81.7 Kb)   docx (11.9 Kb)  
Continuar por mais 5 páginas »
Disponível apenas no Essays.club